线上期刊服务咨询,发表咨询:400-808-1701 订阅咨询:400-808-1721

一种基于 FPGA 的 MSK 调制解调技术的设计与实现

高大勇; 韦全亮 信息周刊 2019年第52期

摘要:随着现代通信技术的发展,许多优秀的调制方式应运而生,其中二进制最小频移键控(MSK)调制技术是无线通信中比较突出的一种二进制调制方式,由于具有包络恒定、频谱紧凑、抗干扰能力强等突出特点,因此特别适用于无线数传设备。本文设计了一种基FPGA的MSK调制解调系统,实现了MSK调制解调技术。

关键词:技术msk

单位:贵州航天林泉电机有限公司

注:因版权方要求,不能公开全文,如需全文,请咨询杂志社

信息周刊

部级期刊

¥218.00

关注 0人评论|0人关注