线上期刊服务咨询,发表咨询:400-808-1701 订阅咨询:400-808-1721

基于FPGA的线性调频信号产生器设计

徐春香 刘军 电子测试 2009年第10期

摘要:线性调频信号是雷达系统广泛应用的一种信号,通过脉冲压缩处理,可以得到良好的距离分辨率和径向速度分辨率。本文在研究DDS原理的基础上,给出了一种基于FPGA技术的线性调频信号产生器的设计方案,并利用Ahera公司的cyclone Ⅱ系列芯片和QuartusⅡ开发软件对设计进行了仿真验证。采用FPGA技术可以方便地通过修改编程参数,对线性调频信号的起始频率、带宽、频率分辨率进行修改。仿真结果表明,该设计能够产生符合要求的线性调频信号,并且具有结构简单、集成度高、易于修改等特点。

关键词:fpga线性调频dds

单位:海军航空工程学院电子工程系 山东烟台;264001 92212部队 山东青岛266001

注:因版权方要求,不能公开全文,如需全文,请咨询杂志社

电子测试

省级期刊

¥400.00

关注 42人评论|1人关注